Modail sgrion touch 2.8 òirleach COF: DMG32240F028_01W (Sreath COF)

Taisbeanadh DWIN 2.8 Inch 240 * 320 UART

Feartan:

● Stèidhichte air T5L0, a 'ruith DGUS II siostam.

● 2.8 òirleach, rùn 240 * 320 piogsail, dathan 262K, TN-TFT-LCD, ceàrn seallaidh àbhaisteach.

● Pròiseas lamination frèam LCD agus TP.

● COF structar.Tha prìomh chuairt iomlan an sgrion smart stèidhichte air an FPC de LCM, air a nochdadh le structar aotrom is tana, cosgais ìosal agus cinneasachadh furasta.

● 50 prìneachan, a’ toirt a-steach IO, UART, CAN, AD agus PWM bho chridhe CPU neach-cleachdaidh airson leasachadh àrd-sgoile furasta.


Sònrachadh

Tuairisgeul

Bathar Tags

Bhideo

Sònrachadh

DMG32240F028_01W
Fiosrachadh ASIC
T5L0 ASIC Tha T5L0 ASIC na ASIC dà-chridhe aon-chip làn-chumhachd, cosg-èifeachdach agus tagradh air a dhealbhadh le DWIN Technology airson LCD beag-mheud agus tomad air a thoirt a-mach ann an 2020.
Taisbeanadh
Dath 262K dathan
Seòrsa LCD TN, TFT LCD
A' coimhead Ceàrn Ceàrn seallaidh àbhaisteach, luach àbhaisteach 70 ° / 70 ° / 50 ° / 70 ° (L / R / U / D)
Raon Taisbeanaidh (AA) 43.2mm(W) × 57.6mm(H)
Fuasgladh 240*320
Cùl-sholais LED
Gile DMG32240F028_01WN: 350nit
DMG32240F028_01WTR: 300nit
Touch Parameters
Seòrsa RTP (Pannal Touch Resistive)
Structar Film ITO + glainne ITO
Modh suathaidh Cuir taic ri suathadh is slaod puing
Cruaidh uachdar 3H
Tionndadh aotrom Còrr is 80%
Beatha Dotting > 1,000,000 uair;Stròc > 100,000 uair;Feachd 150g, air ais is air adhart air a chunntadh mar dhà uair
Voltage & gnàthach
Voltage cumhachd 3.6 ~ 5.5V, luach àbhaisteach 5V
Obrachadh an-dràsta 110mA VCC = 5V, backlight as àirde
WTC:-
WN: 75mA VCC = 5V, backlight dheth
Deuchainn earbsachd
Teòthachd Obrach -10 ℃ ~ 60 ℃
Teòthachd stòraidh -20 ℃ ~ 70 ℃
Taiseachd obrach 10% ~ 90% RH, luach àbhaisteach 60% RH
Eadar-aghaidh
Eadar-aghaidh cleachdaiche 50Pin_0.5mm FPC
Baudrate 3150~3225600bps
Toradh Voltage Toradh 1; 3.0 ~ 3.3 V
Toradh 0; 0 ~ 0.3 V
Voltage a-steach
(RXD)
Cuir a-steach 1;3.3V
Cuir a-steach 0;0~0.5V
Eadar-aghaidh UART2: TTL;
UART4: TTL;(Ri fhaighinn a-mhàin às deidh rèiteachadh an OS
UART5: TTL; (Ri fhaighinn a-mhàin às deidh rèiteachadh an OS)
Cruth dàta UART2: N81;
UART4: N81 / E81 / O81 / N82; modhan 4 (rèiteachadh OS)
UART5: N81 / E81 / O81 / N82; modhan 4 (rèiteachadh OS)
Eadar-aghaidh taobh a-muigh
Pinn Mìneachadh Tha mi/o Tuairisgeul gnìomh
1 5V I Solar cumhachd, DC3.6-5.5V
2 5V I
3 GND GND GND
4 GND GND
5 GND GND
6 AD7 I 5 ADC a-steach.Fuasgladh 12-bit ann an cùis solar cumhachd 3.3V.Bholtadh cuir a-steach 0-3.3V.Ach a-mhàin AD6, thèid an còrr dàta a chuir gu OS core tro UART3 ann an àm fìor le ìre samplachaidh 16KHz.Faodar AD1 agus AD5 a chleachdadh ann an co-shìnte, agus faodar AD3 agus AD7 a chleachdadh ann an co-shìnte, a tha co-ionann ri dà samplachadh 32KHz AD.Faodar AD1, AD3, AD5, AD7 a chleachdadh ann an co-shìnte, a tha co-ionann ri samplachadh AD 64KHz;tha an dàta air a chruinneachadh 1024 tursan agus an uairsin air a roinn le 64 gus luach 64Hz 16bit AD fhaighinn le bhith a’ ro-samplachadh.
7 AD6 I
8 AD5 I
9 AD3 I
10 AD2 I
11 3.3 O Toradh 3.3V, an luchd as àirde de 150mA.
12 SPK O MOSFET taobh a-muigh gus clamhan no neach-labhairt a dhràibheadh.Bu chòir an resistor 10K taobh a-muigh a shlaodadh sìos chun na talmhainn gus dèanamh cinnteach gu bheil cumhachd air adhart aig ìre ìosal.
13 SD_CD Tha mi/o Eadar-aghaidh SD/SDHC, Bidh an SD_CK a’ ceangal capacitor 22pF ri GND faisg air eadar-aghaidh cairt SD.
14 SD_CK O
15 SD_D3 Tha mi/o
16 SD_D2 Tha mi/o
17 SD_D1 Tha mi/o
18 SD_D0 Tha mi/o
19 PWM0 O Toradh PWM 2 16-bit.Bu chòir an resistor 10K taobh a-muigh a shlaodadh sìos chun na talmhainn gus dèanamh cinnteach gu bheil cumhachd air adhart aig ìre ìosal.
Faodar smachd a chumail air cridhe an OS ann an àm fìor tro UART3
20 PWM1 O
21 P3.3 Tha mi/o Ma chleachdas tu RX8130 no SD2058 I2C RTC gus ceangal ris an dà IO, bu chòir SCL a bhith ceangailte ri P3.2, agus SDA ceangailte ri P3.3 ann an co-shìnte ri tarraing resistor 10K suas gu 3.3V.
22 P3.2 Tha mi/o
23 P3.1/EX1 Tha mi/o Faodar a chleachdadh mar chur-a-steach taobh a-muigh 1 aig an aon àm, agus a’ toirt taic do gach cuid ìre bholtachd ìosal no modhan casg iomall.
24 P3.0/EX0 Tha mi/o Faodar a chleachdadh mar chur-a-steach taobh a-muigh 0 aig an aon àm, agus a’ toirt taic do gach cuid ìre bholtachd ìosal no modhan casg iomall.
25 P2.7 Tha mi/o IO eadar-aghaidh
26 P2.6 Tha mi/o IO eadar-aghaidh
27 P2.5 Tha mi/o IO eadar-aghaidh
28 P2.4 Tha mi/o IO eadar-aghaidh
29 P2.3 Tha mi/o IO eadar-aghaidh
30 P2.2 Tha mi/o IO eadar-aghaidh
31 P2.1 Tha mi/o IO eadar-aghaidh
32 P2.0 Tha mi/o IO eadar-aghaidh
33 P1.7 Tha mi/o IO eadar-aghaidh
34 P1.6 Tha mi/o IO eadar-aghaidh
35 P1.5 Tha mi/o IO eadar-aghaidh
36 P1.4 Tha mi/o IO eadar-aghaidh
37 P1.3 Tha mi/o IO eadar-aghaidh
38 P1.2 Tha mi/o IO eadar-aghaidh
39 P1.1 Tha mi/o IO eadar-aghaidh
40 P1.0 Tha mi/o IO eadar-aghaidh
41 UART4_TXD O UART4
42 UART4_RXD I
43 UART5_TXD O UART5
44 UART5_RXD I
45 P0.0 Tha mi/o IO eadar-aghaidh
46 P0.1 Tha mi/o IO eadar-aghaidh
47 CAN_TX O CAN eadar-aghaidh
48 CAN_RX I
49 UART2_TXD O UART2 (port sreathach UART0 de chridhe OS)
50 UART2_RXD I
Iarrtas

1 (4)1 (5)


  • Roimhe:
  • Air adhart:

  • 55 (2) 55 (1)

    Bathar Co-cheangailte